Welcome![Sign In][Sign Up]
Location:
Search - Verilog MSP430

Search list

[SCMMSP430F133频率计程序

Description: 这是一个基于MSP430F133的频率计程序-This is a based on the frequency meter MSP430F133 procedures
Platform: | Size: 1024 | Author: lsl | Hits:

[SCMPWMcontrolLED

Description: PWM占空比调节,控制小灯的渐明渐暗,程序简单明了-PWM duty cycle regulation, the control of small lights out gradually dimming, straightforward procedure
Platform: | Size: 74752 | Author: 胡亚军 | Hits:

[VHDL-FPGA-VerilogFPGA_SPI_Trans

Description: FPGA模拟SPI与MSP430通讯Verilog程序-A verilog program of fpga talks to mcu msp430 using spi
Platform: | Size: 1024 | Author: 卢山 | Hits:

[VHDL-FPGA-VerilogMCU_FPGA_Interface

Description: msp430单片机用IO口模拟总线时序,与FPGA进行交互的程序,附源代码,verilog,有简单文档。-msp430 I single-chip analog IO bus with timing, with the FPGA interactive process, with the source code, verilog, a simple document.
Platform: | Size: 870400 | Author: 柴佳 | Hits:

[VHDL-FPGA-Verilogopenmsp430_latest.tar

Description: 开源的MSP430 Verilog源码,供学习使用-Open Source MSP430 Core verilog code, for studying.
Platform: | Size: 31823872 | Author: Youlong Tao | Hits:

CodeBus www.codebus.net